英特尔 关键字列表
格局与布局:英特尔构建技术基石,驱动未来计算
2019-12-23

格局与布局:英特尔构建技术基石,驱动未来计算

在数据和计算产生变革的时代,英特尔既着眼于当先的产业需求,同时又面向未来不断引领产业潮流。面向下一个十年,未来格局的改变已经近在眼前。

一图看懂:英特尔以数据为中心的整体布局及技术创新里程碑
2019-12-19

一图看懂:英特尔以数据为中心的整体布局及技术创新里程碑

英特尔认为,人工智能、5G、边缘智能是当今三大转折性技术领域。这三项技术的交汇与叠加,是构建下一波应用创新的关键驱动力。

直面多元计算架构编程痛点 英特尔oneAPI打造统一的开发者体验
2019-12-19

直面多元计算架构编程痛点 英特尔oneAPI打造统一的开发者体验

为了解决这样的业界难题,英特尔发布了一项全新软件行业计划oneAPI。oneAPI为异构计算提供了一个统一和简化的应用程序开发编程模型,这种统一性为开发者提供了一个统一的开发体验,因为其面对不同的异构硬件,他们不需要学习不同的编程方法。

英特尔斥资20亿美元收购高速AI芯片初创公司Habana Labs
2019-12-17

英特尔斥资20亿美元收购高速AI芯片初创公司Habana Labs

在走出隐形模式仅两年之后,人工智能芯片初创公司Habana Labs就被英特尔以20亿美元的价格收购。

英特尔收购人工智能芯片制造商Habana Labs

英特尔收购人工智能芯片制造商Habana Labs

英特尔公司今天宣布以20亿美元收购Habana Labs。该公司总部位于以色列,是一家为数据中心提供可编程深度学习加速器的厂商。

英特尔斥资20亿美元收购高速AI芯片初创公司Habana Labs

英特尔斥资20亿美元收购高速AI芯片初创公司Habana Labs

在走出隐形模式仅两年之后,人工智能芯片初创公司Habana Labs就被英特尔以20亿美元的价格收购。

英特尔助力“存储金字塔”进化,携手浪潮共创业界最高性能中端全闪存储

英特尔助力“存储金字塔”进化,携手浪潮共创业界最高性能中端全闪存储

随着数据的爆炸式增长,如人工智能、物联网等新技术的成熟,人们对于数据处理的极高需求与底层存储技术之间的不匹配感越来越强烈。

英特尔发布Horse Ridge芯片,推动实现商业上可行的量子计算机

英特尔研究院发布了代号为“Horse Ridge”的首款低温控制芯片,以加快全栈量子计算系统的开发步伐。作为量子实用性道路上的一个重要里程碑, Horse Ridge实现了对多个量子位的控制,并为向更大的系统扩展指明了方向。

英特尔公布新型超低温控制芯片 致力于实现“量子实用性”

英特尔公布新型超低温控制芯片 致力于实现“量子实用性”

在Alphabet的研究人员致力于实现量子霸权的时候,位于俄勒冈州希尔斯伯勒(Ronler Acres)的英特尔实验室的员工们,在过去五年中一直在追求重要目标:“量子实用性”。

不止是处理器:英特尔CEO瞄准全芯片市场30%的份额
2019-12-10

不止是处理器:英特尔CEO瞄准全芯片市场30%的份额

英特尔首席执行官Bob Swan表示,他愿意放弃英特尔在CPU市场中的长期以来的统治地位,以满足人工智能和自动驾驶等应用对于更新型、更专业的芯片不断增长的需求。

英特尔解决方案市场:通过全球合作,助力合作伙伴的增长与创新

英特尔解决方案市场:通过全球合作,助力合作伙伴的增长与创新

英特尔今天推出了英特尔解决方案市场(Intel Solutions Marketplace),随着以数据为中心的经济环境的复杂性越来越高,这一创新平台将助力合作伙伴部署解决方案。

英特尔联手腾讯:从云到端的智能教育,原来长这样

英特尔联手腾讯:从云到端的智能教育,原来长这样

当人工智能、机器学习、自动化、机器人、无人机、AR和VR等技术成为“常态化”,社会要如何适应,人们应该接受怎样的教育,而今天的教育是否还能应对?没有标准答案,但肯定的是,教育行业一定会发生颠覆。

引领存储新架构 构建数据金字塔 英特尔通过傲腾和QLC NAND技术变革存储未来

引领存储新架构 构建数据金字塔 英特尔通过傲腾和QLC NAND技术变革存储未来

英特尔公司中国区非易失性存储事业部总经理刘钢先生出席大会并发表演讲,不仅从产品层面阐述了英特尔如何通过傲腾技术和QLC NAND技术填补当前存储层级中的巨大鸿沟。

英特尔多维发力,推动人工智能规模化发展

英特尔多维发力,推动人工智能规模化发展

英特尔亚洲人工智能销售技术总监伊红卫也表示,在加速人工智能行业落地的过程中,英特尔可以从硬件、软件和生态三个方面进行赋能。

英特尔EMIB技术助力实现芯片间互连互通
2019-11-27

英特尔EMIB技术助力实现芯片间互连互通

英特尔EMIB(嵌入式多芯片互连桥接)技术帮助实现包括CPU、图形卡、内存、IO及其它多个芯片间的通信。EMIB是一个比一颗香米粒还小的复杂多层薄硅片,可以在相邻芯片间传输大量数据。

技术、应用、生态多维发力,英特尔推动人工智能阔步前行
2019-11-23

技术、应用、生态多维发力,英特尔推动人工智能阔步前行

英特尔实践AI媒体分享会今天举行,首次面向中国媒体介绍了最新推出的英特尔Nervana神经网络处理器(NNP)和下一代英特尔Movidius Myriad 视觉处理单元(VPU)。

助力下一代ASIC创新 英特尔推出采用CHIPLET封装技术的业界最大容量FPGA
2019-11-21

助力下一代ASIC创新 英特尔推出采用CHIPLET封装技术的业界最大容量FPGA

近日,全新英特尔Stratix 10 GX 10M FPGA量产。该产品是全球密度最高的FPGA,并基于现有的英特尔Stratix 10 FPGA架构以及英特尔先进的嵌入式多芯片互连桥接(EMIB)技术。

英特尔积极赋能计算机视觉开发者 软硬结合提供全面新体验

近日,由深圳极视角科技有限公司主办、英特尔提供战略支持的“CV 101-计算机视觉青年开发者技术与应用大会暨榜单活动颁奖典礼”在深圳圆满落幕。

2019-11-19

以数据为中心 英特尔通过软硬结合加速HPC和AI融合

硬件产品品类的丰富,软件产品的打通,在这个以数据为中心的时代,英特尔正在加速HPC和AI融合,让企业在应对数据挑战方面更加得心应手。

英特尔公司推出面向高性能计算与AI应用的图形芯片及配套软件
2019-11-19

英特尔公司推出面向高性能计算与AI应用的图形芯片及配套软件

英特尔公司日前发布针对高性能计算与AI两大工作负载进行优化的新型通用图形处理单元,这也意味着芯片巨头正式将业务关注重点放在二者的融合身上。